设为首页|收藏本站|
开启左侧

[科技] 投资者称英特尔加大投资将重拾领导地位,台积电的主导地位将结束

[复制链接]
24900 0
@Xizi_Hn5OURoj 发表于 2022-3-24 21:24:25 | 只看该作者 打印 上一主题 下一主题
 
投资者对投资英特尔的投资价值的观点总结


  • 正如今天所知,从现在起的十年左右的时间里由于几项突破性的技术进步,将会导致计算技术变得面目全非;在这场技术革命中有一家公司引领着这一变革冲锋在前,那就是英特尔。
  • 基本的计算构建模块将从 FinFET 替换为 RibbonFET。英特尔将再次拥有最先进的芯片工艺技术。
  • 未来的芯片将由较小的3D小芯片构建而成。这意味着计算机的设计将像用乐高积木拼砌一样;而英特尔将再次引领这一技术变革。
  • 数据中心将通过与集成硅光子学相关的光电子而不是电子来互连的。只有英特尔才拥有这种未来主义技术。
  • 由于英特尔正在成为芯片加工的代工厂,上述技术意味着所有领先的芯片公司都将涌向英特尔;台积电(和英伟达)的主导地位将结束。

投资者称英特尔加大投资将重拾领导地位,台积电的主导地位将结束 第1张图片

Intel

投资者建议对Intel投资的理论基础

有投资者估计从现在起的十年左右(长期股东的投资期限),计算机将与今天大不相同,如上面总结的要点所示。在许多投资者看来,有一家公司将特别受益于这一场技术变革:它就是英特尔(INTC)。

一些投资者可能会对此皱眉头,指出英特尔不仅错过iPhone手机时代和移动设备,以及其芯片工艺开发问题的众多绊脚石。然而,英特尔的问题可以比作道路拥堵:虽然Intel的10nm技术被推迟,但英特尔仍在继续研究面向未来的技术。因此,随着这些挡在前进道路商的拥堵最终得到缓解甚至被疏通,新任首席执行官帕特·格尔辛格(Pat Gelsinger)进一步打开了资金闸门(并且将投资转向研发而不是股票回购),英特尔将带着复仇的心情卷土重来,一旦尘埃落定,英特尔可能会成为真正的赢家。例如,英特尔将重新获得芯片代工的领导地位并将创造一个强大的领域,这可能导致代工厂客户涌向英特尔。

英特尔反攻的第一弹:重新获得芯片工艺的领导权

正如许多投资家之前深入分析的那样,英特尔在10nm工艺制程处绊倒了,仅仅是因为没有工具(设备)可以制造英特尔想要制造的芯片。更具体地说,Intel的10nm工艺制程是在ASML(ASML)的EUV可用之前开发的,因此英特尔不得不尝试用193nm波长的设备来印刷出低于40nm的电路特征。这就像画家在使用覆盖了一半画布的画笔画画。虽然这听起来不可能,但是当时英特尔实际上应该获得了一些工具,以最终以<50%的成品率批量生产10纳米工艺制程器件。尽管如此,这就是英特尔之前遇到的全部问题的原因:英特尔的主要投资方向是对冲EUV。

因此,随着EUV的建立,游戏规则已经完全改变了摩尔定律扩展的新时代。简而言之,在10nm的基础上判断英特尔未来执行能力的投资者正在关注过去,而不是未来。例如,英特尔已经宣布,它将率先在2025年转向生产中的下一代光刻工具,称为high-NA EUV。这些工具每单位将耗资约4亿美元。正如Pat Gelsinger所说,这个团队正在为他们的努力而骄傲:


投资者称英特尔加大投资将重拾领导地位,台积电的主导地位将结束 第2张图片

英特尔在晶体管的制程工艺上领先

但是,在晶体管规模上发生的不仅仅是新工具。晶体管的基本结构将很快发生变化,就像过去已经改变的那样。因此,这是一个简短的历史教训,英特尔在2012年通过推出FinFET震惊了整个半导体行业。像台积电(TSM)这样的其他公司一夜之间不得不改变他们的技术路线图,以避免陷入无关紧要的深渊。

因此,虽然光刻技术不一定是英特尔最大的优势,但上图表明了同样重要的东西:材料科学。很多投资者之前已经深入探讨了这个主题因此这里只是简单介绍一下,简而言之,英特尔的材料科学和纳米技术研究是世界一流的。甚至连台积电都无法与之相提并论。对于过去的一些例子,请考虑台积电的20nm平面晶体管的泄漏;英特尔的应变硅 / HKMG / FinFET 三重奏产品组合;英特尔在14nm的生产中投入了气隙,钴+钌互连,单假栅极,有源栅极上的接触和superMIM电容器在10nm下投入生产,而当时台积电甚至在5nm上什么也没有。

展望未来,在2024年,英特尔将把晶体管从FinFET改为RibbonFET,同时通过引入一个名为PowerVia的背面供电网络来改变互连。虽然其它的半导体公司也谈到了全能栅极晶体管,但其他人都没有像PowerVia这样的东西。

具体到台积电,正如投资者一段时间以来所争论的那样,台积电继续在3nm处使用FinFET晶体管可能被视为一个弱点的迹象:台积电的CEO在同一句话中确实使用了"3nm"和"延迟",虽然"3nm"工艺节点将带来1.6倍的晶体管密度的提升,但是台积电也已经表示,2nm将于2025年推出,这比大家预期的要晚。

因此,投资者可以从这些信息上看到这些迹象:在2.5年内实现1.6倍的晶体管密度提升并不是一个表明任何领导式执行的速度。相比之下,英特尔以20A的速度提高了2倍,仅用了18个月。因此,由于本文后面是关于十年后计算会是什么样子的,人们只能想知道这对全能栅极晶体管时代来说可能预示着什么。鉴于台积电最近的磕磕绊绊和英特尔在世界级材料科学和组件研究方面的历史,再加上Pat Gelsinger提供的巨额额外资金,Intel重新获得工艺领导地位的未来似乎很开阔。

英特尔反攻的第二弹:像搭乐高积木一样的3D芯片

不仅十年后,隐形晶体管看起来会有所不同,整个芯片看起来也会非常不同。事实上,"芯片"这个词(或SoC =片上系统)将具有所有意义,因为不会只有一种芯片。将有许多芯片:每个IP块都可以是自己独立的芯片。这些类似乐高积木的积木被称为小芯片(或瓷砖)(即chiplets)。

此外,谁说小芯片(chiplets)必须以2D形式布置?从内存和存储中已经知道,在3D中堆叠小芯片时,会打开一个巨大的机会之窗。或者正如Pat Gelsinger最近所说,他预计这将使英特尔在未来十年内比摩尔定律("超级摩尔定律")更快。

现在,投资者应该知道接下来会发生什么了。一些投资者现在会有一种冲动,想谈谈AMD(AMD)据说已经在做小芯片了(有些人甚至声称,尽管完全不正确,但AMD首先在商业上推出了小芯片)。哎呀,AMD已经在用他们的3D V-Cache进行3D堆叠。

但这不是全部事实。事实证明,并非所有堆叠和所有小芯片都是平等的。对于投资者来说,区分"真正的"3D封装技术和"真正的"小芯片非常重要,而不是那些看起来只是看起来的“小芯片”技术。一般的投资者也可以很容易地用人们可能看到的最具启发性的技术图表之一来证明这一点:


投资者称英特尔加大投资将重拾领导地位,台积电的主导地位将结束 第3张图片

AnandTech

认为计算是功耗密集型的?再想一想。如果做错了,180W+的功率预算将被互连完全消耗。AMD的看涨者经常吹捧的AMD的一些特殊技术,如Infinity Fabric,实际上是AMD最大的瓶颈。

然后有些人会指出,AMD已经有一种叫做3D V-Cache的东西。然而,这也不是"真正的"3D堆叠:如果你的技术只能堆叠一个简单的SRAM芯片,那么对于所有目的,你根本没有堆叠任何东西。3D V-Cache只有少数细分的应用程序,这就是为什么有些投资者称AMD为高级营销手段。可以肯定的是,底层技术,混合绑定,确实允许更先进的应用,但没有迹象表明AMD正在使用或开发面向这些应用的技术。

无论如何,AMD目前所做的并不是业界专业人士所说的真正的小芯片和3D堆叠。相反,小芯片(chiplets)的秘密魔力在于创建一个具有离散小芯片的系统,但从外部看,这个系统看起来像(或无法区分)一个高效且单一的整体。在不必深入研究所有技术的情况下,只要再看看一些入门级的说明材料,就足以说明英特尔的先进2.5D和3D封装产品组合是无与伦比的。正如Pat Gelsinger所说:"当我回来时,我发现的一件很酷的事情是,尽管工艺技术存在一些问题,但3D封装技术是完美的。


投资者称英特尔加大投资将重拾领导地位,台积电的主导地位将结束 第4张图片

英特尔的SoC技术概念

从概念上讲,它看起来像上图中的右图(AMD只是在做中间部分):每个IP都将是自己独立的硅芯片片。使用这种方法,创建CPU变得像堆叠乐高积木一样简单。

在AMD的讨论中,AMD的首席技术官谈到了英特尔的IP分区理念:"总有一个平衡 - 一个伟大的想法被过度使用可能会成为一个坏主意。但是,我宁愿将这一声明解释为承认AMD不具备就像英特尔计划的那样的技术能力来充分利用小芯片(chiplets)技术。

特别是,英特尔拥有以下技术,可以在一个封装中混合搭配:


  • EMIB:作为2.5D小芯片(chiplets)之间的微小低成本桥梁,具有超低功耗和超高带宽(与Infinity Fabric等传统方法不同);
  • Foveros:将基础芯片(活动转接板图块)连接到一个或多个顶部小芯片以进行3D堆叠,但甚至可以扩展到多层3D堆叠;
  • Foveros Direct:这与AMD从台积电用于其3D V-Cache的底层技术相同,具有最低的功耗和更高的互连密度;
  • Foveros Omni:进一步解决了3D堆叠中的电源和互连问题。
关于这个投资组合投资的技术是否真的代表了这项技术的领导地位,已经有很多讨论。许多投资者则认为这些技术确实具有领先地位。首先,多年来,台积电在2D小芯片方面的主力一直是其无源转接板(CoWoS)技术。然而,当考虑到在所有有源硅小芯片(包括HBM)下面需要一个巨大的转接板时,这项技术的效率非常低下的缺点就很快显现出来了。这是非常昂贵的,因为这可能导致1000倍的平方毫米的额外硅芯片面积开销,这与英特尔需要的微小的EMIB桥相比是一个巨大的缺陷。

例如,EMIB是英特尔的Sapphire Rapids Xeon经济的唯一原因,因为像Infinity Fabric(传统技术)和巨大的2000平方毫米转接板这样的东西都不实用。

其次,以下是一些真正的尖端封装的例子(以证明这与AMD的半小芯片方法完全不同):


投资者称英特尔加大投资将重拾领导地位,台积电的主导地位将结束 第5张图片

WikiChip

下面让我们看看英特尔的下一代英特尔4 FPGA,它可以在电源效率提升方面提供1-2个数量级的效率提升:


投资者称英特尔加大投资将重拾领导地位,台积电的主导地位将结束 第6张图片

英特尔

而这个,Ponte Vecchio 47小芯片(hiplet)GPU为2 exaflops Aurora超级计算机提供动力:


投资者称英特尔加大投资将重拾领导地位,台积电的主导地位将结束 第7张图片

英特尔

最后,Meteor Lake由Intel 7,Intel 4和TSMC N3小芯片构建的批量客户端CPU:


投资者称英特尔加大投资将重拾领导地位,台积电的主导地位将结束 第8张图片

Meteor Lake

投资专家预计这只是2.5D和3D硅封装和小芯片系统新时代的开始。就像高数值孔径光刻、RibbonFET 和 PowerVia 一样,英特尔处于这些新的突破性功能的最前沿。

英特尔反攻的第三弹:集成硅光子技术

半导体行业的大多数投资者都知道工艺技术,但只有少数人知道先进封装。但从来没有人谈论过硅光子学。但是,现在这种情况也会改变。如果英特尔凭借 RibbonFET 重新获得工艺领导地位,而英特尔在先进封装技术方面的领导地位是蛋糕,那么硅光子学将成为锦上添花。

为了解释这种相关性,需要再次说明的是除了指出上面AMD的Infinity Fabric令人尴尬的功耗之外,别无他法。虽然先进封装在某种程度上解决了这个问题,但它只是间接地解决了这个问题。根本问题仍然是,使用电子来传输信息本质上是相当昂贵的。

更具体地说,虽然先进的封装和小芯片在封装层面代表了真正的突破,但在系统层面,例如在数据中心和超级计算机中,这个问题仍然很明显。

关于硅光子学的巧妙之处在于,英特尔实际上已经在大批量生产中拥有这项技术。但是,这些仍然只是数据中心用于连接到以太网交换机的分离模块。下一步将是使硅光子学成为以太网交换机本身的一部分。(幸运的是,英特尔通过其2019年收购的Barefoot Networks(赤脚网络)巧合地拥有台积电7nm以太网交换机。然后,最后一步是将硅光子学IC集成到计算封装本身上(实际上使用3D封装)。

换句话说,虽然硅光子学已经存在,但它仍然处于像晶体管在IC(集成电路)发明之前已经存在的阶段。

因此,集成硅光子学将成为上一节中描述的英特尔先进封装工具箱中的另一个工具。只需考虑以下几点:一旦集成了硅光子学,封装之间的界限就会模糊,因为硅光子学提供了非常快速、低延迟和低功耗的互连。人们可以想象,在数据中心(或超级计算机中),跨越大量机架的芯片突然变成了一个集成系统,这将大大改善互连性能。它构成了英特尔 Zettascale 计算计划的关键支柱。现在,这将真正成为一种可以真正地保证实现无限织网物(Infinity Fabric)名称的技术。

如果有人怀疑英特尔或其他任何公司(即NVIDIA(NVDA))是否有能力彻底改变数据中心,并保证6000亿美元的市场估值,请再想一想:


投资者称英特尔加大投资将重拾领导地位,台积电的主导地位将结束 第9张图片

英特尔的集成光子系统原型



两个IC堆叠在一起,一个在另一个之上,并用铜柱连接。"这是一个例子,说明我们如何使用3D封装将节能CMOS电路与硅光子学紧密集成,"Balamurugan说。"这种协调整合是提供高性能和成本优化的光收发器的关键。通过将硅光子学构建模块与计算资源集成,英特尔相信它可以打破当前具有更多I / O引脚的更大处理器的趋势,这是满足不断增长的带宽要求所必需的。(...)然而,随着时间的推移,该公司希望将其硅光子学平台扩展到实现每根光纤1 Tb / s,每比特消耗1pJ的能量,达到1公里的距离的技术目标。

因此,正如本次讨论的共同点一样,这是一项突破性技术,只有英特尔在这项技术上处于行业领先地位。顺便说一句,这项技术也正在开发中,用于Mobileye的激光雷达中。

为了增加这一讨论,最近,英特尔发布了一项重大公告,进一步表明了英特尔有意引领业界实现集成光子学。虽然没有前一天的Mobileye的IPO那么引人注目,但长期潜力同样巨大。

为了完整起见,英特尔并不是唯一一家致力于此的公司,尽管它确实处于领先地位。具体来说,英特尔最接近的竞争对手是格芯(GLOBALFOUNDRIES)。

英特尔反攻的第四弹:扰乱芯片代工制造领域

想象一下,您是一家初创公司,您想要进入芯片代工领域(换句话说:您想成为与台积电竞争的合同制造商),这为思科(CSCO),AMD(AMD),NVIDIA,高通(QCOM)和苹果(AAPL)等全球最大的技术巨头提供了制造芯片的机会,那么您需要什么条件才能做到这一点?

首先,您需要领先的工艺技术,提供低功耗和高速度的晶体管,这与其他任何地方都不同。您需要先进的封装技术,以便这些客户能够通过 3D 乐高拼搭创建下一代计算系统。您需要像集成硅光子学这样的快速互连技术,因为由于AI的兴起,数据和带宽要求呈爆炸式增长。您需要一套世界级的 IP,可以作为 IP 和 chiplet 构建的功能模块来提供给客户。最后,您需要一个庞大而昂贵的工厂网络。

显然,没有风险投资会支持这样的创业公司,因为开发检查所有这五个盒子里的技术将花费数十亿美元。

但是,巧合的是,英特尔审查了这些盒子中的每一项技术。因此,这是 Pat Gelsinger 在成为首席执行官并开始英特尔的芯片代工服务业务时从帽子中抽出的通配符:IFS 业务免费获得英特尔的所有投资,反过来,这将打开一个价值 1000 亿美元的新 TAM领域。

因此,如上所述,这种基本的领先技术不仅将使英特尔能够创造突破性产品,还将使世界上每一个无晶圆厂(fabless)客户都能实现自己的目标。因此,英特尔不仅将在未来十年内重新绘制技术格局,还将重新绘制地缘政治格局。


投资者称英特尔加大投资将重拾领导地位,台积电的主导地位将结束 第10张图片

英特尔试图帮助客户实现更加弹性的供应链

事实上,今年1月份,英特尔宣布在俄亥俄州推出Silicon Heartland芯片代工工厂,初始投资额为200亿美元,最终可能增长到1000亿美元,3月份,英特尔宣布在德国成立Silicon Junction芯片代工工厂,初始投资额为200亿美元,后面可能增长到1000亿美元。换句话说,英特尔在未来十年的投资与其市值一样多。结合其研发支出,英特尔每年将投资500亿美元。这就像NVIDIA和AMD的总收入一样多。

因此,例如,关于英特尔失去苹果(AAPL)业务已经做了很多事情。但正如Pat Gelsinger所说,英特尔的芯片代工服务为他提供了一种赢回这项业务的方法。

当然,你可以问为什么英特尔在苹果成为台积电的客户十多年后才这样做?答案是出于同样简单的原因,英特尔在10nm失去其工艺领导地位时陷入了非常深的麻烦之中:如果你没有领先的晶体管,你的设计将永远不会在市场上具有竞争力。

简而言之,摩尔定律的技术和经济影响意味着赢家通吃的市场动态,每个人都将涌向拥有最佳技术的芯片铸造厂身边。当然,一些投资者会不同意,但从上面的分析中,我们可以看出,从现在起的十年左右的时间里,能够做到这一点的公司很可能将会是英特尔,因为它在从晶体管到芯片,从封装到互连的每一个方面都拥有领先的技术。正如Pat Gelsinger所说,芯片代工业务将会使英特尔变得更好,而英特尔也将会使芯片代工厂变得更好。

对于那些仍然怀疑这是否可行的人,只需要看看台积电,它正在利用芯片短缺的现状来提高芯片代工价格。例如,已经有传言称苹果只会在其最昂贵的iPhone手机中使用其下一代A16芯片。因此,很明显,台积电试图利用其目前的垄断地位来谋取更高的利益,而这种现状为英特尔在代工领域提供竞争创造了一个有利可图的机会。这解释了英特尔如何能够在短短几个月内与>100名潜在客户建立联系。到2022年,英特尔已经有超过40个测试芯片通过其晶圆厂生产。

注意:为了进一步分析代工厂业务,许多投资者之前已经多次谈到这一点:台积电和Arm处于英特尔(纳斯达克股票代码:INTC)的十字准线,英特尔(纳斯达克股票代码:INTC)将会为芯片铸造厂投资增加10倍至2000亿美元。

给投资者的分析总结

本文受到逆向观点的启发,该观点将英特尔降级为半导体行业的笑柄。这是真的,因为之前有人已经详细介绍了英特尔在10nm上是如何以及为什么绊倒的。

因此,本文不仅为英特尔提供了一个恢复相关性的场景,而且英特尔有望能够通过先进的封装、小芯片和下一代 RibbonFET 晶体管的全面产品组合、PowerVia 的范式转换功率传输以及具有集成硅光子学的数据中心革命性新互连等技术跃升至行业领先地位。

正如许多人肯定会指出的那样,这里最大的风险是英特尔对其工艺技术路线图的执行力以及执行得是否彻底。显然,在英特尔真正开始发货之前,英特尔将永远无法说服这些投资者。尽管如此,投资者所见过的最接近和最本能的证据是英特尔的CEO Pat Gelsinger在二月份的投资者会议上举起了18A SRAM测试晶圆:可见这项技术是真实的。但是,创建领先产品的其余部分已经存在(虽然只是以初步形式存在),正如47-chiplet Ponte Vecchio或英特尔每年出货的数百万个硅光子学收发器所证明的那样。

直到所有这些技术结合在一起,真正的魔力才会开始发生,并产生革命性的新系统,而这在几年前是完全不可想象的。

所以可以认为,该行业的这些变化可能会释放强大的股东价值(对于英特尔作为最大的受益者而言),这尤其体现在英特尔可能花费2000亿美元的晶圆厂建设(在未来十年内)以支持其自身及其芯片代工厂业务上。



上一篇:一日三餐都是外卖,身体早晚撑不住,这4个危险随时随地都会爆发
下一篇:腾讯公布2021Q4及全年财报,马化腾称像自己的腰一样不那么突出了
@



1.西兔生活网 CTLIVES 内容全部来自网络;
2.版权归原网站或原作者所有;
3.内容与本站立场无关;
4.若涉及侵权或有疑义,请点击“举报”按钮,其他联系方式或无法及时处理。
 
您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

排行榜
活跃网友
返回顶部快速回复上一主题下一主题返回列表APP下载手机访问
Copyright © 2016-2028 CTLIVES.COM All Rights Reserved.  西兔生活网  小黑屋| GMT+8, 2024-5-29 00:49